// synopsys translate_off
module colorBarTB; // 纯粹为了ä¿å˜å½©æ¡æ•°æ®
reg [7:0] colorBar[0:7];
initial begin
colorBar[7] = {3'b111, 3'b111, 2'b11}; // white
colorBar[6] = {3'b111, 3'b111, 2'b00}; // yellow
colorBar[5] = {3'b000, 3'b111, 2'b11}; // cyan
colorBar[4] = {3'b000, 3'b111, 2'b00}; // green
colorBar[3] = {3'b111, 3'b000, 2'b11}; // maganta
colorBar[2] = {3'b111, 3'b000, 2'b00}; // red
colorBar[1] = {3'b000, 3'b000, 2'b11}; // blu
colorBar[0] = {3'b000, 3'b000, 2'b00}; // black
end
endmodule
// synopsys translate_on
When collapse initial, endmodule is gone.
When collapse module, nothing happens.
Don't know how to send screen capture.