Author Topic: RC1: Freeze on beautify  (Read 3238 times)

warnerrs

  • Senior Community Member
  • Posts: 114
  • Hero Points: 4
RC1: Freeze on beautify
« on: October 22, 2015, 06:40:46 PM »
Linux
Clean ~/.slickedit (deleted)
SystemVerilog
Beautify
Freeze

Video:
https://youtu.be/7NSntQS7VqU

Sorry for the low quality YouTube video, attach doesn't seem to be working.

patrick

  • SlickEdit Team Member
  • Senior Community Member
  • *
  • Posts: 1818
  • Hero Points: 151
Re: RC1: Freeze on beautify
« Reply #1 on: October 22, 2015, 08:02:26 PM »
Odd, the section of the code I saw on screen doesn't seem to cause problems by it self, though I may have mangled it trying to read it on the video.

  If you can't send the problem file, can you comment out bits of the file to narrow down what piece of syntax we're getting stuck on?

warnerrs

  • Senior Community Member
  • Posts: 114
  • Hero Points: 4
Re: RC1: Freeze on beautify
« Reply #2 on: October 22, 2015, 10:05:27 PM »
This is all I need to reproduce the hang.

Code: [Select]
`timescale 1ns/1ps


// tb
//
//  MODULE: tb_top
//
module tb_top();
    initial uvm_config_db#(virtual rif)::set( null, "uvm_test_top.env.rif_agent", "vif", r_if );

endmodule

patrick

  • SlickEdit Team Member
  • Senior Community Member
  • *
  • Posts: 1818
  • Hero Points: 151
Re: RC1: Freeze on beautify
« Reply #3 on: October 23, 2015, 01:11:48 PM »
Hmm, I can reproduce it with that.  Looking into it, thanks for narrowing it down.

patrick

  • SlickEdit Team Member
  • Senior Community Member
  • *
  • Posts: 1818
  • Hero Points: 151
Re: RC1: Freeze on beautify
« Reply #4 on: October 23, 2015, 01:37:39 PM »
Fixed for the next build.