Author Topic: RC3 SystemVerilog fork beautification  (Read 3403 times)

warnerrs

  • Senior Community Member
  • Posts: 114
  • Hero Points: 4
RC3 SystemVerilog fork beautification
« on: October 29, 2015, 08:46:23 PM »
SV fork beautify isn't working correctly.
Code: [Select]
module top;
    class Test extends rvm::rvm_component;
        EnvPkg::Env env;
        virtual function void run_phase();
            fork
                begin
                    env.run_phase();
                end
            join_none      // correct beautification

            fork
                env.run_phase();
                env.run_phase();
            join_none      // correct beautification

            fork
                env.run_phase();
                join_none  // incorrect beautification

                $display("reached Test::run_phase");
                $stop;
            endfunction
        endclass
    endmodule
   

patrick

  • SlickEdit Team Member
  • Senior Community Member
  • *
  • Posts: 1818
  • Hero Points: 151
Re: RC3 SystemVerilog fork beautification
« Reply #1 on: October 29, 2015, 08:52:52 PM »
Reproduced, taking a look at it.  That's an odd one.