Author Topic: SlickEdit 21.0.1 freeze on opening specific Verilog file.  (Read 3287 times)

ehoffman

  • Community Member
  • Posts: 24
  • Hero Points: 2
SlickEdit 21.0.1 freeze on opening specific Verilog file.
« on: December 11, 2016, 09:18:35 PM »
Hi

It's me again, the 'beta tester' who keep bugging you about bugs in SE ;)

I just tried the new SlickEdit 21.0.1, and found out a crash (or rather a freeze) when loading specific Verilog file.  This is a very nasty bug though.

Just type this in SlickEdit 21.0.1.0
- Create a new Verilog file
- Type:

Code: [Select]
module inverter #(
                  parameter WIDTH=1
                 )(
                  input  [WIDTH-1:0] data_in,
                  output [WIDTH-1:0] data_out
                 )

    assign data_out = ~data_in;
                   
endmodule                   

So far so good.  That's a simple inverter module, which has a width parameter.

Now, Just erase the default parameter value, the '1', and replace it with a define, as follow:

Code: [Select]
                  parameter WIDTH=`DEFAULT_WIDTH

(Note for those who are new to Verilog, the ` is a back-tick, not an apostrophe...)  :)

As soon as you type `D SlickEdit freeze and you have to kill it.

Also, just opening any Verilog file with a parameter initialized with a `define value cause SE to freeze.

Maybe caused by the fix to the beautifier I mentioned in my last post, I don't know...

Best regards,
Eric

Edit: Sorry, I previously mentionned 20.0.1, it's really 21.0.1 :)

patrick

  • SlickEdit Team Member
  • Senior Community Member
  • *
  • Posts: 1818
  • Hero Points: 151
Re: SlickEdit 21.0.1 freeze on opening specific Verilog file.
« Reply #1 on: December 12, 2016, 02:19:30 PM »
Reproduced, I'm taking a look at it.  Once I get a fixed version I can send you, I'll include the beautifier fixes as well so those can get some more use before the next point release.

Edit: What platform do you run on?  Windows 64bit?
« Last Edit: December 12, 2016, 02:27:40 PM by patrick »

ehoffman

  • Community Member
  • Posts: 24
  • Hero Points: 2
Re: SlickEdit 21.0.1 freeze on opening specific Verilog file.
« Reply #2 on: December 14, 2016, 04:25:27 PM »
Yes, Windows 7 x64 (can't stand Windows 8/10!)  :P

patrick

  • SlickEdit Team Member
  • Senior Community Member
  • *
  • Posts: 1818
  • Hero Points: 151
Re: SlickEdit 21.0.1 freeze on opening specific Verilog file.
« Reply #3 on: December 14, 2016, 08:07:30 PM »
Sure, I'll PM you the download link for the updated DLL as soon as it's ready.

I've attached an updated version of the systemverilog.e file that you can load up by going to the SlickEdit command line, and typing "load SOME\PATH\systemverilog.e"   It can be loaded before or after you get the updated DLL.