SlickEdit Community

Archived Beta Discussions => SlickEdit 201x Beta Discussions => SlickEdit 2016 v21 Beta Discussion => Topic started by: jnairb on September 13, 2016, 09:48:37 PM

Title: C/C++ indent cursor placement error
Post by: jnairb on September 13, 2016, 09:48:37 PM
Just noticed an odd indent problem when running RC1 (and also after trying it with VS 20, I see the same thing).

If I enter an "if" statement and then press Enter with the cursor after the closing ')' of the if, the cursor is correctly indented on the next line. (I don't have braces automatically inserted.) However, if I enter an if statement and then a line comment following the closing ')' of the if, when I press Enter, the cursor is not indented -- it's placed in the same column as the 'if'. If I type an opening brace, it is properly indented (per my formatting style).

The same thing happens with other statements (else, while, for) depending on whether I do or don't have a line comment. Pressing Tab does move the cursor where I expect it, so it's a relatively minor issue, but thought I'd mention it here in case it's a simple fix.
Title: Re: C/C++ indent cursor placement error
Post by: patrick on September 14, 2016, 01:08:23 PM
That's no good.  We'll take a look at it, it will either be in the next build, or the first hotfix, depending on how things go this week.  :)
Title: Re: C/C++ indent cursor placement error
Post by: patrick on October 10, 2016, 01:42:57 PM
I'm almost finished testing the fix for this, it will be in the first hotfix for v21.
Title: Re: C/C++ indent cursor placement error
Post by: patrick on October 17, 2016, 03:09:45 PM
Confirming this will be in the first hotfix for v21, it's checked in.  Thanks for the report.