SlickEdit Community

Archived Beta Discussions => SlickEdit 201x Beta Discussions => SlickEdit 2015 v20 Beta Discussion => Topic started by: warnerrs on October 29, 2015, 08:46:23 PM

Title: RC3 SystemVerilog fork beautification
Post by: warnerrs on October 29, 2015, 08:46:23 PM
SV fork beautify isn't working correctly.
Code: [Select]
module top;
    class Test extends rvm::rvm_component;
        EnvPkg::Env env;
        virtual function void run_phase();
            fork
                begin
                    env.run_phase();
                end
            join_none      // correct beautification

            fork
                env.run_phase();
                env.run_phase();
            join_none      // correct beautification

            fork
                env.run_phase();
                join_none  // incorrect beautification

                $display("reached Test::run_phase");
                $stop;
            endfunction
        endclass
    endmodule
   
Title: Re: RC3 SystemVerilog fork beautification
Post by: patrick on October 29, 2015, 08:52:52 PM
Reproduced, taking a look at it.  That's an odd one.